Logo - Eden Lab

Plasma Therm

[vc_row css=”.vc_custom_1626881182303{margin-top: 50px !important;margin-bottom: 50px !important;}”][vc_column][vc_text_separator title=”Plasma Therm” color=”peacoc” border_width=”2″ el_width=”60″][/vc_column][/vc_row][vc_row css=”.vc_custom_1626881583904{padding-right: 100px !important;padding-left: 100px !important;}”][vc_column width=”2/3″][vc_single_image image=”14028″ img_size=”full” alignment=”center” style=”vc_box_outline” border_color=”peacoc”][/vc_column][vc_column width=”1/3″ el_class=”nk_company_box”][vc_column_text css=”.vc_custom_1634672544492{padding-right: 40px !important;padding-left: 40px !important;}”]

Brand: Plasma Therm

Website: www.plasma-therm.com

 

View All Products

[/vc_column_text][/vc_column][/vc_row][vc_row css=”.vc_custom_1626933135185{padding-right: 50px !important;padding-left: 50px !important;}”][vc_column][vc_column_text css=”.vc_custom_1626949543456{padding-right: 50px !important;padding-left: 50px !important;}”]

About Plasma Therm

Plasma-Therm is a global manufacturer of plasma etch, deposition, and advanced packaging equipment for the specialty semiconductor and nanotechnology markets.

Since 1974, Plasma-Therm has been an innovator in plasma-processing technologies. The company now holds more than 150 U.S. and foreign patents for plasma processes and equipment inventions.

Plasma-Therm’s reputation as an industry leader is supported by more than 40 years of focus on customer support, product innovation, reliability, and low cost of ownership. The success of Plasma-Therm systems in both high-volume manufacturing environments and research institutions has secured the company’s status as a preferred supplier of plasma-process equipment. Plasma-Therm’s plasma-processing and advanced-packaging solutions are used in research, pilot manufacturing, and volume production.
[/vc_column_text][/vc_column][/vc_row][vc_row css=”.vc_custom_1626933552569{padding-right: 50px !important;padding-left: 50px !important;}”][vc_column css=”.vc_custom_1626933631450{padding-top: 20px !important;}”][vc_column_text css=”.vc_custom_1626949765396{padding-right: 50px !important;padding-left: 50px !important;}”]

Product

Plasma-Therm offers a range of technologies for etching and deposition of a variety of materials.[/vc_column_text][vc_empty_space height=”64px”][/vc_column][/vc_row][vc_row css=”.vc_custom_1626933229012{padding-right: 50px !important;padding-left: 50px !important;}”][vc_column][vc_column_text css=”.vc_custom_1626950280013{padding-right: 50px !important;padding-left: 50px !important;}”]Plasma Etch

  • DSE — Deep Silicon Etch™ is a specialized form of ICP that combines etching and deposition in a time division multiplexed mode allowing for the production of deep straight-wall features in silicon and SOI substrates.
  • ICP — Inductively Coupled Plasma uses an RF powered coil in conjunction with an RF biased substrate electrode.
  • Photomask — Photomask etching systems are a specialized form of ICP processing that requires advanced control of parameters such as critical dimensions (CD).
  • RIE — Reactive Ion Etching uses a parallel plate configuration with an RF biased substrate electrode.

Ion Beam Etch

    • IBE — Ion beam etching uses a beam of accelerated ions for precise patterning and surface modification of any material, including metals. It is essentially a physical process, also referred to as “ion milling.”

Plasma Deposition

    • PECVD — Plasma Enhanced Chemical Vapor Deposition runs in parallel plate mode with RF power applied to the upper electrode.
    • HDPCVD — High Density Plasma Chemical Vapor Deposition combines the advantages of a high density plasma ICP source with PECVD, allowing for deposition at significantly lower temperatures and producing better, higher-density films.

Ion Beam Deposition

    • IBD — Ion beam deposition uses an ion beam to sputter material from a target for deposition on a substrate. IBD can form very thin films with superior uniformity.
      Plasma Dicing
    • Singulator® — Singulator systems use ICP-based etch configurations to enable full-wafer dicing of silicon, germanium and GaAs substrates on industry-standard tape frames.

Strip/Clean

    • HDRF™ — High Density Radical Flux is a highly efficient, downstream plasma technology for low-temperature stripping, residue removal, scallop smoothing, and surface activation before bonding.
    • NEO — Plasma technology for high temperature stripping at high etch rate.

Dry Release/Surface Modification

  • XERIC™ Dry Release Etching — memsstar’s XERIC dry release etch process module is available using vapor Hydrogen Fluoride (HF) and Exnon DiFluoride (XeF2).
  • AURIX™ Surface Modification — AURIX dry, vapor-phase SAM coatings offer major advantages over traditional wet chemical coatings. In particular, the vacuum deposition environment eliminates issues of moisture variation, crucial in creating repeatable and robust surface coatings.

[/vc_column_text][/vc_column][/vc_row][vc_row css=”.vc_custom_1626435696299{padding-right: 50px !important;padding-bottom: 20px !important;padding-left: 50px !important;}”][vc_column css=”.vc_custom_1626933651017{padding-top: 20px !important;padding-bottom: 40px !important;}”][vc_column_text css=”.vc_custom_1626945808788{padding-right: 50px !important;padding-left: 50px !important;}”]

Standard Certificates

SGS/ISO
CE/RoHs/FC/UL
[/vc_column_text][/vc_column][/vc_row][vc_row][vc_column][vc_empty_space height=”64px”][/vc_column][/vc_row]